Conjugation in SysML-1.7 is Type-based: InterfaceBlock, ~InterfaceBlock, and DirectedFeature

Gallery
Tutorial
Click on the image to view it full size
You can also use the nice SysMLv1 IBD Compartments to expose the underlying contract:
UML Port conjugation is dead (since SysML-1.6); Long live conjugation of the Port's type!
Up next
Notes
Snippets (quotes/extracts)
Visit also
Visit also (backlinks)
Related slides (includes other tutorials)
Related slides (backlinks, includes other tutorials)